summaryrefslogtreecommitdiffstats
path: root/regress/roff/ta/basic-mdoc.out_ascii
diff options
context:
space:
mode:
authorIngo Schwarze <schwarze@openbsd.org>2017-06-07 20:01:19 +0000
committerIngo Schwarze <schwarze@openbsd.org>2017-06-07 20:01:19 +0000
commit38e5c73900c5e957ce2d1e4eec4c1222509aac9a (patch)
tree2b8cc9a7a71200021bc97da4e36a1d003d999cdb /regress/roff/ta/basic-mdoc.out_ascii
parentce3e2d5316637284d4e520cd554cd6ac728f4e49 (diff)
downloadmandoc-38e5c73900c5e957ce2d1e4eec4c1222509aac9a.tar.gz
Prepare the terminal driver for filling multiple columns in parallel,
second step: make the per-column byte pointer persistent across term_flushln() calls, such that a subsequent call can continue at the point where the previous call left. If more than one column is in use, return from term_flushln() when the column is full, rather than breaking the output line. No functional change, because nothing sets up multiple columns yet.
Diffstat (limited to 'regress/roff/ta/basic-mdoc.out_ascii')
0 files changed, 0 insertions, 0 deletions